پیام فرستادن

اخبار

January 20, 2021

فناوری TSV: به طور موثر ظرفیت و پهنای باند DRAM را گسترش می دهد

با رشد سریع اخیر و گسترش گسترده هوش مصنوعی (AI) ، یادگیری ماشین ، محاسبات با کارایی بالا ، گرافیک و برنامه های شبکه ، تقاضا برای حافظه سریعتر از همیشه در حال رشد است.با این حال ، حافظه اصلی سنتی DRAM دیگر برای تأمین چنین نیازهای سیستم کافی نیست.از طرف دیگر ، برنامه های سرور در مرکز داده ، ظرفیت بالاتری را برای ذخیره سازی فراهم می کنند.به طور سنتی ، ظرفیت زیر سیستم حافظه با افزایش تعداد کانال های ذخیره سازی در هر شکاف و استفاده از ماژول های حافظه دو خطی DRAM با چگالی بالاتر (DIMM) افزایش یافته است.با این حال ، حتی با پیشرفته ترین DRAM 16 گیگابایتی DDR4 ، نیاز به ظرفیت حافظه سیستم ممکن است برای برخی از برنامه های خاص (مانند پایگاه داده های حافظه) کافی نباشد.از طریق سیلیکون (TSV) در حافظه به یک فناوری اساسی موثر برای گسترش ظرفیت و گسترش پهنای باند تبدیل شده است.این یک فن آوری است که تمام ضخامت ویفر سیلیکون را سوراخ می کند.هدف ایجاد هزاران اتصال عمودی از جلو به پشت تراشه و بالعکس است.در روزهای اولیه ، TSV فقط به عنوان یک فن آوری بسته بندی در نظر گرفته می شد ، اما به جای اتصال سیم.با این وجود ، طی سالها ، این وسیله ابزاری ضروری برای گسترش عملکرد و تراکم DRAM شده است.امروزه صنعت DRAM دو مورد اصلی برای استفاده دارد و TSV ها برای غلبه بر محدودیت های گسترش ظرفیت و پهنای باند با موفقیت تولید شده اند.آنها از نوع DRAM 3D-TSV و حافظه پهنای باند (HBM) هستند.

آخرین اخبار شرکت فناوری TSV: به طور موثر ظرفیت و پهنای باند DRAM را گسترش می دهد  0

علاوه بر بسته های سنتی تراشه های دوتایی (DDP) با انباشته شدن باند سیم ، حافظه های با چگالی بالا مانند 128 و 256 گیگابایت DIMM (16 بیتی مبتنی بر 16 گیگابایت DIMM با 2High و 4High X4 DRAM) نیز از DRAM 3D-TSV استفاده می کنند.در DRAM 3D-TSV ، قالب های 2 یا 4 DRAM روی هم قرار می گیرند و فقط قالب پایین از خارج به کنترل کننده حافظه متصل است.قالبهای باقیمانده توسط بسیاری از TSV ها متصل می شوند که انزوای بار ورودی / خروجی (I / O) را به صورت داخلی فراهم می کنند.در مقایسه با ساختار DDP ، این ساختار با جدا کردن بار I / O به سرعت پین بالاتری دست می یابد و با از بین بردن تکثیرهای غیرضروری م componentلفه های مدار بر روی تراشه های انباشته ، مصرف برق را کاهش می دهد.

آخرین اخبار شرکت فناوری TSV: به طور موثر ظرفیت و پهنای باند DRAM را گسترش می دهد  1

از طرف دیگر ، HBM برای ایجاد فاصله پهنای باند بین نیازهای پهنای باند SoC و حداکثر قابلیت تأمین پهنای باند حافظه اصلی ایجاد شده است.به عنوان مثال ، در برنامه های هوش مصنوعی ، نیاز به پهنای باند هر SoC (به ویژه در برنامه های آموزشی) ممکن است از چندین TB / s بیشتر باشد ، که با حافظه اصلی معمولی برطرف نمی شود.یک کانال حافظه اصلی با 3200 مگابیت در ثانیه DDR4 DIMM فقط می تواند 25.6 گیگابایت بر ثانیه پهنای باند ارائه دهد.حتی پیشرفته ترین پلت فرم پردازنده با 8 کانال حافظه نیز تنها می تواند سرعت 204.8 گیگابایت بر ثانیه را فراهم کند.از طرف دیگر ، 4 پشته HBM2 در اطراف یک SoC می توانند پهنای باند> 1 ترابایت بر ثانیه را فراهم کنند که می تواند شکاف پهنای باند آنها را جبران کند.طبق برنامه های مختلف ، HBM می تواند به عنوان حافظه پنهان به تنهایی یا به عنوان اولین لایه از دو لایه حافظه استفاده شود.HBM نوعی حافظه درون بسته ای است که از طریق یک اینترپوزر سیلیکونی در همان بسته با SoC ادغام می شود.با این کار می توان از حداکثر تعداد محدودیت های پین بسته I / O داده ، که محدودیتی برای بسته های سنتی خارج از تراشه است ، عبور کرد.HBM2 که در محصولات واقعی مستقر شده است شامل 4 یا 8 پایه پشته 8 گیگابایتی و 1024 پین داده است و هر پایه با سرعت 1.6 ~ 2.4Gbps کار می کند.تراکم هر پشته HBM 4 یا 8 گیگابایت است و پهنای باند 204 ~ 307 GB / s است.

آخرین اخبار شرکت فناوری TSV: به طور موثر ظرفیت و پهنای باند DRAM را گسترش می دهد  2

SK Hynix متعهد به حفظ موقعیت پیشرو در صنعت HBM و محصولات DRAM 3D-TSV با چگالی بالا است.به تازگی ، SK hynix از توسعه موفقیت آمیز دستگاه HBM2E خبر داده است که نسخه گسترده ای از HBM2 با تراکم تا 16 گیگابایت و پهنای باند 460 گیگابایت بر ثانیه در هر پشته است.این امر با افزایش دانسیته مرگ DRAM به 16 گیگابایت و دستیابی به سرعت 3.6Gbps در هر پین در 1024 IO داده تحت ولتاژ منبع تغذیه 1.2 ولت امکان پذیر است.SK Hynix همچنین در حال گسترش سری DIMM های 3D-TSV 128 ~ 256 گیگابایتی خود برای پاسخگویی به نیازهای مشتریان خود برای DIMM با تراکم بالاتر است.فناوری TSV اکنون به بلوغ خاصی رسیده و می تواند جدیدترین محصولات را با هزاران TSV مانند HBM2E بسازد.با این وجود ، در آینده ، با حفظ عملکردهای مونتاژ بالا ، کاهش نسبت سطح / قطر / ابعاد TSV و ضخامت قالب چالش برانگیزتر خواهد شد و برای ادامه عملکرد دستگاه و مقیاس بندی ظرفیت در آینده بسیار مهم خواهد بود.چنین بهبودهایی باعث کاهش بار TSV ، کاهش نسبت نسبی اندازه TSV و گسترش تعداد پشته ها به بالای 12High می شود ، در حالی که همچنان همان ارتفاع پشته فیزیکی کل یکسان است.از طریق نوآوری مداوم محصولات و فن آوری های TSV ، SK hynix همچنان بر موقعیت خود در خط مقدم رهبری فناوری ذخیره سازی تمرکز خواهد کرد. گروه HOREXS همچنین به منظور بهبود تقاضای SK Hynix ، فناوری را بهبود می بخشد ، برای تولید زیرلایه های حافظه با AKEN تماس بگیرید ، akenzhang @ hrxpcb.cn

اطلاعات تماس